当前位置: 首页 > news >正文

蓝桥杯FPGA-ds1302驱动

1. 驱动的作用

调用SPI底层驱动,实现DS1302的驱动

2. 关键程序代码说明

1. 独热编码设置状态机的状态

使用独热编码会使系统更加高效稳定

localparam IDLE     = 8'b0000_0001;
localparam CE_HIGH  = 8'b0000_0010;
localparam CE_LOW   = 8'b0000_0100;
localparam WR_ADDR  = 8'b0000_1000;
localparam WR_DATA  = 8'b0001_0000;
localparam RD_ADDR  = 8'b0010_0000;
localparam RD_DATA  = 8'b0100_0000;
localparam ACK      = 8'b1000_0000;
2. 状态跳转条件的设置
wire IDLE_CE_HIGH    = (cstate == IDLE)         && (cmd_read || cmd_write)  ;
wire CE_HIGH_WR_ADDR = (cstate == CE_HIGH)      && end_cnt_num   && cmd_write           ;
wire WR_ADDR_WR_DATA = (cstate == WR_ADDR)      && wr_ack                   ;
wire WR_DATA_CE_LOW  = (cstate == WR_DATA)      && wr_ack                   ;
wire CE_LOW_ACK      = (cstate == CE_LOW)       && end_cnt_num              ;
wire ACK_IDLE        = (cstate == ACK)          && end_cnt_num              ;wire CE_HIGH_RD_ADDR = (cstate == CE_HIGH)      && end_cnt_num   &&  cmd_read          ;
wire RD_ADDR_RD_DATA = (cstate == RD_ADDR)      && wr_ack                   ;
wire RD_DATA_CE_LOW  = (cstate == RD_DATA)      && wr_ack                   ;
3. end_cnt_num的使用说明

end_cnt_numcnt_clk开始计数并且计数到最大值的时候拉高一个clk节拍,此时由于end_cnt_num的拉高的一个节拍会使cnt_num的值加一(整个过程比较复杂,这里一笔带过,需要仔细了解的朋友可以拿代码去仿真)

4. 二段式状态机

两段式状态机比较推荐,拥有更高的执行效率和稳定性,推荐使用,特别注意一点,组合逻辑使用阻塞赋值,所有的else条件必须写,否则可能会引起latch

always @(posedge clk or posedge rst) beginif(rst)begincstate <= IDLE;end else begincstate <= nstate;end
endalways @(*) begincase (cstate)IDLE     :if(IDLE_CE_HIGH)nstate = CE_HIGH;elsenstate = cstate;CE_HIGH  :if(CE_HIGH_RD_ADDR)nstate = RD_ADDR;else if(CE_HIGH_WR_ADDR)nstate = WR_ADDR;elsenstate = cstate;CE_LOW   :if(CE_LOW_ACK)nstate = ACK;elsenstate = cstate;WR_ADDR  :if(WR_ADDR_WR_DATA)nstate = WR_DATA;elsenstate = cstate;WR_DATA  :if(WR_DATA_CE_LOW)nstate = CE_LOW;elsenstate = cstate;RD_ADDR  :if(RD_ADDR_RD_DATA)nstate = RD_DATA;elsenstate = cstate;RD_DATA  :if(RD_DATA_CE_LOW)nstate = CE_LOW;elsenstate = cstate;ACK      :if(ACK_IDLE)nstate = IDLE;elsenstate = cstate;default  :nstate = IDLE;endcase
end
5. 数据的收发部分

注意这里面有数据字节的对应问题,SPI收发数据都是从低位开始,也就是LSB,需要把用户的传入的数据或者用户需要读出的数据进行位置变换,具体实现如下

always @(posedge clk or posedge rst) beginif(rst)beginsend_data <= 8'd0;end else if(cstate == WR_ADDR)beginsend_data <= {1'b0, write_addr[1],write_addr[2],write_addr[3],write_addr[4],write_addr[5],write_addr[6],1'b1};end else if(cstate == RD_ADDR)beginsend_data <= {1'b1, read_addr[1],read_addr[2],read_addr[3],read_addr[4],read_addr[5],read_addr[6],1'b1};end else if(cstate == WR_DATA)beginsend_data <= {write_data[0],write_data[1],write_data[2],write_data[3],write_data[4],write_data[5],write_data[6],write_data[7]};end
endalways @(posedge clk or posedge rst) beginif(rst)beginread_data <= 8'd0;end else if(cstate == RD_DATA_CE_LOW)beginread_data <= {data_rec[0],data_rec[1],data_rec[2],data_rec[3],data_rec[4],data_rec[5],data_rec[6],data_rec[7]};end else beginread_data <= 8'd0;end
end

3. 仿真验证

1. 写过程

在这里插入图片描述

2. 读过程

在这里插入图片描述

4. 完整代码

module ds1302_io1(input                               clk                        ,input                               rst                        ,output                              ds1302_ce                  ,// 1output                              ds1302_sclk                ,// 1inout                               ds1302_io                  ,input                               cmd_read                   ,input                               cmd_write                  ,output                              cmd_write_ack              ,// 1output                              cmd_read_ack               ,// 1 input              [   7:0]         write_addr                 ,input              [   7:0]         read_addr                  ,input              [   7:0]         write_data                 ,output reg         [   7:0]         read_data                   //1
);localparam IDLE     = 8'b0000_0001;
localparam CE_HIGH  = 8'b0000_0010;
localparam CE_LOW   = 8'b0000_0100;
localparam WR_ADDR  = 8'b0000_1000;
localparam WR_DATA  = 8'b0001_0000;
localparam RD_ADDR  = 8'b0010_0000;
localparam RD_DATA  = 8'b0100_0000;
localparam ACK      = 8'b1000_0000;wire [25:0]MAX_CNT = 256;
reg [7:0]cstate;
reg [7:0]nstate;
reg [3:0]num;
reg [8:0]cnt_clk;
reg [3:0]cnt_num;
reg CS_reg;
wire add_cnt_clk = cstate != IDLE;
wire end_cnt_clk = add_cnt_clk && cnt_clk == MAX_CNT - 1;
wire add_cnt_num = end_cnt_clk;
wire end_cnt_num = add_cnt_num && cnt_num == num - 1;
wire wr_ack;reg [7:0]send_data ;
wire [7:0]data_rec  ;
wire IDLE_CE_HIGH    = (cstate == IDLE)         && (cmd_read || cmd_write)  ;
wire CE_HIGH_WR_ADDR = (cstate == CE_HIGH)      && end_cnt_num   && cmd_write           ;
wire WR_ADDR_WR_DATA = (cstate == WR_ADDR)      && wr_ack                   ;
wire WR_DATA_CE_LOW  = (cstate == WR_DATA)      && wr_ack                   ;
wire CE_LOW_ACK      = (cstate == CE_LOW)       && end_cnt_num              ;
wire ACK_IDLE        = (cstate == ACK)          && end_cnt_num              ;wire CE_HIGH_RD_ADDR = (cstate == CE_HIGH)      && end_cnt_num   &&  cmd_read          ;
wire RD_ADDR_RD_DATA = (cstate == RD_ADDR)      && wr_ack                   ;
wire RD_DATA_CE_LOW  = (cstate == RD_DATA)      && wr_ack                   ;
assign cmd_write_ack  = WR_DATA_CE_LOW;
assign cmd_read_ack   = RD_DATA_CE_LOW;
// wire CS_reg = cstate == ;//
always @(posedge clk or posedge rst) beginif(rst)beginCS_reg <= 1'b0;end else if(IDLE_CE_HIGH)beginCS_reg <= 1'b1;end else if(WR_DATA_CE_LOW || RD_DATA_CE_LOW)beginCS_reg <= 1'b1;   end
endreg [8*20-1:0]state_name;
always @(*) begincase (cstate)
IDLE     :begin num = 1;state_name = "IDLE   "; end
CE_HIGH  :begin num = 1;state_name = "CE_HIGH"; end
CE_LOW   :begin num = 1;state_name = "CE_LOW "; end
WR_ADDR  :begin num = 1;state_name = "WR_ADDR"; end
WR_DATA  :begin num = 1;state_name = "WR_DATA"; end
RD_ADDR  :begin num = 1;state_name = "RD_ADDR"; end
RD_DATA  :begin num = 1;state_name = "RD_DATA"; end
ACK      :begin num = 1;state_name = "ACK    "; end
default  :begin num = 1;state_name = "IDLE   "; end  endcase
endalways @(posedge clk or posedge rst) beginif(rst)begincnt_clk <= 9'd0;end else if(add_cnt_clk)beginif(end_cnt_clk)cnt_clk <= 9'd0;elsecnt_clk <= cnt_clk + 9'd1;end
end
always @(posedge clk or posedge rst) beginif(rst)begincnt_num <= 4'd0;end else if(add_cnt_num)beginif(end_cnt_num)cnt_num <= 4'd0;elsecnt_num <= cnt_num + 4'd1;end
endalways @(posedge clk or posedge rst) beginif(rst)begincstate <= IDLE;end else begincstate <= nstate;end
endalways @(*) begincase (cstate)IDLE     :if(IDLE_CE_HIGH)nstate = CE_HIGH;elsenstate = cstate;CE_HIGH  :if(CE_HIGH_RD_ADDR)nstate = RD_ADDR;else if(CE_HIGH_WR_ADDR)nstate = WR_ADDR;elsenstate = cstate;CE_LOW   :if(CE_LOW_ACK)nstate = ACK;elsenstate = cstate;WR_ADDR  :if(WR_ADDR_WR_DATA)nstate = WR_DATA;elsenstate = cstate;WR_DATA  :if(WR_DATA_CE_LOW)nstate = CE_LOW;elsenstate = cstate;RD_ADDR  :if(RD_ADDR_RD_DATA)nstate = RD_DATA;elsenstate = cstate;RD_DATA  :if(RD_DATA_CE_LOW)nstate = CE_LOW;elsenstate = cstate;ACK      :if(ACK_IDLE)nstate = IDLE;elsenstate = cstate;default  :nstate = IDLE;endcase
endassign wr_req = cstate == RD_ADDR || cstate == WR_ADDR|| cstate == WR_DATA || cstate == RD_DATA;//读数据也要写请求吗   是的  代表启动SPI总线always @(posedge clk or posedge rst) beginif(rst)beginsend_data <= 8'd0;end else if(cstate == WR_ADDR)beginsend_data <= {1'b0, write_addr[1],write_addr[2],write_addr[3],write_addr[4],write_addr[5],write_addr[6],1'b1};end else if(cstate == RD_ADDR)beginsend_data <= {1'b1, read_addr[1],read_addr[2],read_addr[3],read_addr[4],read_addr[5],read_addr[6],1'b1};end else if(cstate == WR_DATA)beginsend_data <= {write_data[0],write_data[1],write_data[2],write_data[3],write_data[4],write_data[5],write_data[6],write_data[7]};end
endalways @(posedge clk or posedge rst) beginif(rst)beginread_data <= 8'd0;end else if(cstate == RD_DATA_CE_LOW)beginread_data <= {data_rec[0],data_rec[1],data_rec[2],data_rec[3],data_rec[4],data_rec[5],data_rec[6],data_rec[7]};end else beginread_data <= 8'd0;end
endspi_master spi_master_m0(.clk                               (clk                       ),.rst                               (rst                       ),.nCS                               (ds1302_ce                 ),.DCLK                              (ds1302_sclk               ),//.MOSI                              (MOSI                      ),.MISO                              (MISO                      ),.CPOL                              (1'b0                      ),.CPHA                              (1'b0                      ),.nCS_ctrl                          (CS_reg                    ),.clk_div                           (16'd50                    ),.wr_req                            (wr_req                    ),.wr_ack                            (wr_ack                    ),.data_in                           (send_data                 ),.data_out                          (data_rec                  ) 
);endmodule

相关文章:

蓝桥杯FPGA-ds1302驱动

1. 驱动的作用 调用SPI底层驱动&#xff0c;实现DS1302的驱动 2. 关键程序代码说明 1. 独热编码设置状态机的状态 使用独热编码会使系统更加高效稳定 localparam IDLE 8b0000_0001; localparam CE_HIGH 8b0000_0010; localparam CE_LOW 8b0000_0100; localparam…...

探索C/C++的奥秘之list

list和我们之前讲的东西都一样&#xff0c;list第二个参数是一个空间配置器&#xff0c;是一个内存池&#xff0c; 底层是一个带头双向循环列表。list可以重载[]&#xff0c;但是效率太低了。 list的遍历不能使用下标[]&#xff0c;因为它的空间不是连续的&#xff0c;可以使用…...

Linux第六讲:进程控制

Linux第六讲&#xff1a;进程控制 1.进程创建1.1回顾fork1.2写时拷贝 2.进程终止2.1exit与_exit 3.进程等待3.1进程等待的方法&#xff08;wait和waitpid&#xff09; 4.进程程序替换4.1自定义shell的编写4.1.1输出命令行提示符4.1.2获取用户输入的命令4.1.3命令行分析4.1.4指令…...

LabVIEW基于双通道FFT共轭相乘的噪声抑制

对于双通道采集的含噪信号&#xff0c;通过FFT获取复数频谱后&#xff0c;对第二通道频谱取共轭并与第一通道频谱相乘&#xff0c;理论上可增强相关信号成分并抑制非相关噪声。此方法适用于通道间信号高度相关、噪声独立的场景&#xff08;如共模干扰抑制&#xff09;。以下为L…...

疯狂安卓入门,crayandroid

系列文章目录 文章目录 系列文章目录第一组 ViewGroup 为基类帧布局约束布局 第二组 TextView 及其子类button时钟 AnalogClock 和 TextClock计时器 第三组 ImageView 及其子类第四组 AdapterView 及其子类AutoCompleteTextView 的功能和用法ExapndaleListViewAdapterViewFlipp…...

SQL Server查询计划操作符(7.3)——查询计划相关操作符(10)

7.3. 查询计划相关操作符 88&#xff09;Sequence Project&#xff1a;该操作符通过对一个排序集合增加字段来进行计算。其基于一个或多个字段的值将其输入的数据行分成多个段&#xff0c;这样&#xff0c;该操作符每次输出一个段&#xff0c;这些字段显示为该操作符的参数。该…...

【Matlab仿真】如何解决三相交流信号源输出波形失真问题?

问题描述 如标题所示&#xff0c;在搭建simulink模型过程中&#xff0c;明明模型搭建的没有问题&#xff0c;但是输出的波形却不是理想的正弦波&#xff0c;影响问题分析。 问题分析 以三相交流信号源输出波形为例&#xff0c;输出信号理应为三相正弦量&#xff0c;但是仿真…...

[含文档+PPT+源码等]精品基于Python实现的校园小助手小程序的设计与实现

基于Python实现的校园小助手小程序的设计与实现背景&#xff0c;可以从以下几个方面进行阐述&#xff1a; 一、技术背景 1. Python与Django框架的优势 Python作为一种高级编程语言&#xff0c;以其简洁的语法、丰富的库和强大的社区支持&#xff0c;在Web开发领域得到了广泛…...

Nginx(基础安装+配置文件)

目录 一.Nginx基础 1.基础知识点 2.异步非阻塞机制 二.Nginx安装 2.1安装nginx3种方式 1.包管理工具安装&#xff08;yum/apt&#xff09; 2.本地包安装&#xff08;rpm/dpkg&#xff09; 3.源码编译安装 3.1 源码编译安装nginx流程&#xff08;ubuntu&#xff09; 1.…...

el-table中slot=“header“和#header的区别

在<el-table>中&#xff0c;自定义表头单元格内容&#xff0c;可以用<templat slot"header">或者<templat #header>插入自定义表头内容&#xff0c;但如果表头中含有变量&#xff0c;比如<template slot"header">{{name}}</tem…...

S19文件格式详解:汽车ECU软件升级中的核心镜像格式

文章目录 引言一、S19文件格式的起源与概述二、S19文件的核心结构三、S19在汽车ECU升级中的应用场景四、S19与其他格式的对比五、S19文件实例解析六、工具链支持与安全考量七、未来趋势与挑战结语引言 在汽车电子控制单元(ECU)的软件升级过程中,S19文件(也称为Motorola S-…...

鸿蒙跨平台框架ArkUI-X

01 引言 目前&#xff0c;移动端主流跨平台方案有Flutter、React Native、uni-app等等&#xff0c;还有刚推出不久的Compose-Multiplatform&#xff0c;真所谓是百花齐放。这些框架各有特点&#xff0c;技术实现各有差异&#xff0c;比如Flutter通过Dart编写的UI描述对接Flutte…...

群晖DS223 Docker搭建为知笔记

群晖DS223 Docker搭建为知笔记&#xff0c;打造你的专属知识宝库 一、引言 在数字化信息爆炸的时代&#xff0c;笔记软件成为了我们管理知识、记录灵感的得力助手。为知笔记&#xff0c;作为一款专注于工作笔记和团队协作的云笔记产品&#xff0c;以其丰富的功能和便捷的使用体…...

FPGA入门教程

引言 FPGA&#xff08;Field-Programmable Gate Array&#xff0c;现场可编程门阵列&#xff09;是一种灵活且强大的硬件设备&#xff0c;广泛应用于数字电路设计、信号处理、嵌入式系统等领域。与传统的ASIC&#xff08;专用集成电路&#xff09;不同&#xff0c;FPGA允许用户…...

DR和BDR的选举规则

在 OSPF&#xff08;开放最短路径优先&#xff09;协议中&#xff0c;DR&#xff08;Designated Router&#xff0c;指定路由器&#xff09; 和 BDR&#xff08;Backup Designated Router&#xff0c;备份指定路由器&#xff09; 的选举是为了在广播型网络&#xff08;如以太网…...

无需环境,直接用 Docker 来启动你的 Python 项目

大家好 我是洪峰 想象这样一种场景&#xff0c;你写好了代码&#xff0c;准备部署在新的服务器上&#xff0c;这台服务器只有 Python2 和 Python3.6&#xff0c;没有你代码适配好的 Python3.12&#xff0c;那怎么办&#xff1f; 1、编译安装 Python&#xff0c;我不推荐这种方…...

STM32之BKP

VBAT备用电源。接的时候和主电源共地&#xff0c;正极接在一起&#xff0c;中间连接一个100nf的电容。BKP是RAM存储器。 四组VDD都要接到3.3V的电源上&#xff0c;要使用备用电池&#xff0c;就把电池正极接到VBAT&#xff0c;负极跟主电源共地。 TEMPER引脚先加一个默认的上拉…...

【08】单片机编程核心技巧:变量命名规范

【08】单片机编程核心技巧&#xff1a;变量命名规范 &#xff08;基于单片机开发实践&#xff0c;适用于 C/C 语言&#xff09; &#x1f4cc; 核心原则 1️⃣ 清晰性&#xff1a;通过前缀、后缀、大小写区分变量类型、作用域、数据宽度等。 2️⃣ 一致性&#xff1a;同一项…...

JVM、MySQL常见面试题(尽力局)

JVM篇 一.谈一谈JDK、JRE、JVM分别是什么&#xff0c;有什么联系&#xff1f; 1.JDK是Java工具包&#xff0c;里面包含了JRE、Javac编译器等。 2.JRE是java运行环境&#xff0c;里面包含了JVM、JavaSE标准库类等。 3.JVM是Java虚拟机&#xff0c;运行编译后的.class的文件&am…...

Pytorch 转向TFConv过程中的卷积转换

转换知识基础 图像中使用的卷积一般为&#xff0c;正方形卷积核针对一个同等面积邻域的&#xff0c;进行相乘后邻域叠加到中心&#xff0c;相当于考虑中心像素的周围信息&#xff0c;做了一定的信息融合。 卷积相关参数 卷积前: input c1 卷积中: kernel 卷积核 stride 步…...

基于LabVIEW的伺服阀高频振动测试闭环控制系统

为实现伺服阀在设定位置上下快速移动&#xff08;1kHz控制频率&#xff09;的振动测试目标&#xff0c;需构建基于LabVIEW的闭环控制系统。系统需满足高速数据采集、实时控制算法&#xff08;如PID或自适应控制&#xff09;、高精度电流驱动及传感器反馈处理等需求。结合用户提…...

QQuick3D-Camera的介绍

QQuick3D-Camera的介绍 Camera的概述 Camera类继承于 Node&#xff1b;Camera定义了怎样将一个3D场景&#xff08;Scene&#xff09;投影到2D的表面上&#xff1b;一个场景至少需要一个Camera来可视化其内容。 Camera 可以像场景中任何节点一样&#xff0c;被定位和旋转&…...

django下防御race condition漏洞(竞争型漏洞)

目录 竞争型漏洞 概念 常见类型及示例 环境搭建 ​编辑漏洞复现 ucenter/1/ ucenter/2/ ucenter/3/ ucenter/4/ 总结 悲观锁 乐观锁 竞争型漏洞 概念 竞争型漏洞&#xff0c;也称为竞态条件漏洞&#xff08;Race Condition Vulnerability&#xff09;&#xff0c;…...

【测试框架篇】单元测试框架pytest(4):assert断言详解

一、前言 用例三要素之一就是对预期结果的断言。 何为断言&#xff1f;简单来说就是实际结果和期望结果去对比&#xff0c;符合预期就测试pass&#xff0c;不符合预期那就测试 failed。断言内容就是你要的预期结果。断言包含对接口响应内容做断言、也包含对落DB的数据做断言。…...

多视图几何--结构恢复--三角测量

三角测量 1. 核心公式推导 假设两个相机的投影矩阵为 P P P 和 P ′ P P′&#xff0c;对应的匹配图像点(同名点)为 ( u , v ) (u, v) (u,v) 和 ( u ′ , v ′ ) (u, v) (u′,v′)&#xff0c;目标是求解三维点 X [ X x , X y , X z , 1 ] T X [X_x, X_y, X_z, 1]^T X…...

【大模型】WPS 接入 DeepSeek-R1详解,打造全能AI办公助手

目录 一、前言 二、WPS接入AI工具优势​​​​​​​ 三、WPS接入AI工具两种方式 3.1 手动配置的方式 3.2 Office AI助手 四、WPS手动配置方式接入AI大模型 4.1 安装VBA插件 4.1.1 下载VBA插件并安装 4.2 配置WPS 4.3 WPS集成VB 4.4 AI助手效果测试 4.5 配置模板文…...

⭐算法OJ⭐N-皇后问题 II【回溯剪枝】(C++实现)N-Queens II

⭐算法OJ⭐N-皇后问题【回溯剪枝】&#xff08;C实现&#xff09;N-Queens 问题描述 The n-queens puzzle is the problem of placing n n n queens on an n n n \times n nn chessboard such that no two queens attack each other. Given an integer n, return the num…...

解锁 AI 量化新境界:Qbot 携手 iTick

在量化投资的汹涌浪潮中&#xff0c;你是否渴望拥有一个强大且便捷的工具&#xff0c;助你乘风破浪&#xff0c;驶向财富的彼岸&#xff1f;如今&#xff0c;Qbot 与 iTick 强强联合&#xff0c;为广大投资者和开发者打造出一个前所未有的 AI 量化生态系统。 Qbot&#xff1a;量…...

vue2设置横向滚动指令

图片横向滑动展示效果 创建directives.js文件 // 横向列表拖拽 const draggleScrollX {inserted(el, binding) {let isDragging false;let startX 0;let scrollLeft 0;el.classList.add("draggle-horizontal");// 添加监听事件-鼠标按下const onMouseDown (eve…...

Git和GitHub基础教学

文章目录 1. 前言2. 历史3. 下载安装Git3.1 下载Git3.2 安装Git3.3 验证安装是否成功 4. 配置Git5. Git基础使用5.1 通过Git Bash使用5.1.1 创建一个新的仓库。5.1.1.1 克隆别人的仓库5.1.1.2 自己创建一个本地仓库 5.1.2 管理存档 5.2 通过Visual Studio Code使用 6. Git完成远…...

【Linux docker】关于docker启动出错的解决方法。

无论遇到什么docker启动不了的问题 就是 查看docker状态sytemctl status docker查看docker日志sudo journalctl -u docker.service查看docker三个配置文件&#xff08;可能是配置的时候格式错误&#xff09;&#xff1a;/etc/docker/daemon.json&#xff08;如果存在&#xf…...

程序化广告行业(2/89):从程序化广告深挖数据处理技巧

程序化广告行业&#xff08;2/89&#xff09;&#xff1a;从程序化广告深挖数据处理技巧 大家好&#xff01;我一直希望能和大家在技术学习的道路上携手共进&#xff0c;这也是我写这一系列博客的初衷。上次我们一起学习了Python基础的数据处理知识&#xff0c;这次咱们借助一…...

第七课:Python反爬攻防战:Headers/IP代理与验证码

在爬虫开发过程中&#xff0c;反爬虫机制成为了我们必须面对的挑战。本文将深入探讨Python爬虫中常见的反爬机制&#xff0c;并详细解析如何通过随机User-Agent生成、代理IP池搭建以及验证码识别来应对这些反爬策略。文章将包含完整的示例代码&#xff0c;帮助读者更好地理解和…...

时序数据库TimescaleDB基本操作示例

好的&#xff01;以下是使用 TimescaleDB 的 Java 示例&#xff08;基于 JDBC&#xff0c;因为 TimescaleDB 是 PostgreSQL 的扩展&#xff0c;官方未提供独立的 Java SDK&#xff09;&#xff1a; 1. 添加依赖&#xff08;Maven&#xff09; <dependency><groupId&g…...

【CSS 】Class Variance Authority CSS 类名管理工具库

1.背景、什么是 CVA&#xff1f; Class Variance Authority (CVA) 是一个用于管理 CSS 类名 的工具库&#xff0c;特别适合在 React 或 Vue 等前端框架中使用。它可以帮助你更轻松地处理组件的 样式变体&#xff08;Variants&#xff09;&#xff0c;比如按钮的不同状态&#…...

【Linux】36.简单的TCP网络程序

文章目录 1. TCP socket API 详解1.1 socket():打开一个网络通讯端口1.2 bind():绑定一个固定的网络地址和端口号1.3 listen():声明sockfd处于监听状态1.4 accept():接受连接1.5 connect():连接服务器 2. 实现一个TCP网络服务器2.1 Log.hpp - "多级日志系统"2.2 Daem…...

Win 转 MacBook Pro 踩坑指南

前言 Window 和 macOS 系统的差异还是很大的&#xff0c;我从 Thinkpad 转用 M1 的 Macbook pro 已经一年了&#xff0c;几乎没有任何不适应&#xff0c;整体感受那是真的牛&#x1f443;&#xff0c;速度和续航惊艳到我了&#xff0c;同时开启 6个 vscode 加几十个浏览器标签…...

【模拟CMOS集成电路设计】带隙基准(Bandgap)设计与仿真(基于运放的电流模BGR)

【模拟CMOS集成电路设计】带隙基准&#xff08;Bandgap&#xff09;设计与仿真 前言工程文件&部分参数计算过程&#xff0c;私聊~ 一、 设计指标指标分析&#xff1a; 二、 电路分析三、 仿真3.1仿真电路图3.2仿真结果(1)运放增益(2)基准温度系数仿真(3)瞬态启动仿真(4)静态…...

手写一个Tomcat

Tomcat 是一个广泛使用的开源 Java Servlet 容器&#xff0c;用于运行 Java Web 应用程序。虽然 Tomcat 本身功能强大且复杂&#xff0c;但通过手写一个简易版的 Tomcat&#xff0c;我们可以更好地理解其核心工作原理。本文将带你一步步实现一个简易版的 Tomcat&#xff0c;并深…...

QT显示网页控件QAxWidget、QWebEngineView及区别

一.QT种显示网页控件QAxWidget 1.介绍 QAxWidget 属于 QtAxContainer 模块&#xff0c;ActiveX 是微软提出的一种组件对象模型&#xff08;COM&#xff09;技术&#xff0c;允许不同的软件组件在 Windows 操作系统上进行交互和集成。QAxWidget 为开发者提供了在 Qt 应用程序中…...

【AI智能体报告】开源AI助手的革命:OpenManus深度使用报告

一、引言&#xff1a;当开源智能体走进生活 2025年3月&#xff0c;MetaGPT团队用一场"开源闪电战"改写了AI Agent的竞争格局。面对商业产品Manus高达10万元的邀请码炒作&#xff0c;他们仅用3小时便推出开源替代品OpenManus&#xff0c;首日即登顶GitHub趋势榜。 …...

VS Code连接服务器教程

VS Code是什么 VS Code&#xff08;全称 Visual Studio Code&#xff09;是一款由微软推出的免费、开源、跨平台的代码编辑神器。VS Code 支持 所有主流操作系统&#xff0c;拥有强大的功能和灵活的扩展性。 官网&#xff1a;https://code.visualstudio.com/插件市场&#xff1…...

装饰器模式的C++实现示例

核心思想 装饰器设计模式是一种结构型设计模式&#xff0c;它允许动态地为对象添加额外的行为或职责&#xff0c;而无需修改其原始类。装饰器模式通过创建一个装饰器类来包装原始对象&#xff0c;并在保持原始对象接口一致性的前提下&#xff0c;扩展其功能。 装饰器模式的核…...

C 语言数据结构(二):顺序表和链表

目录 1. 线性表 2. 顺序表 2.1 概念及结构 2.1.1 静态顺序表&#xff08;不常用&#xff09; 2.1.2 动态顺序表&#xff08;常用&#xff09; ​编辑 2.2 练习 2.2.1 移除元素 2.2.2 删除有序数组中的重复项 2.2.3 合并两个有序数组 2.3 顺序表存在的问题 3. 链表 …...

TDengine 服务无法启动常见原因

taosd 是 TDengine 的核心服务进程&#xff0c;如果无法启动将导致整个数据库无法使用&#xff0c;了解常导致无法启动的原因&#xff0c;可以帮你快速解决问题。 1. 如何查找日志 无法启动的原因记录在日志中&#xff0c;日志文件默认在 /var/log/taos 的 taosdlog.0 或者 t…...

在 UniApp 中实现stream流式输出 AI 聊天功能,AI输出内容用Markdown格式展示

在 UniApp 中实现流式 AI 聊天功能 介绍 在现代 Web 开发中&#xff0c;流式 API 响应能够显著提升用户体验&#xff0c;尤其是在与 AI 聊天接口进行交互时。本文将介绍如何在 UniApp 中使用 Fetch API 实现一个流式响应的 AI 聊天功能&#xff0c;包括实时更新聊天内容和滚动…...

数据库SQL的配置和练习题

一、MySQL的安装 1.安装包下载 下载地址&#xff1a;https://downloads.mysql.com/archives/community/ 2.解压软件包 将MySQL软件包解压在没有中文和空格的目录下 3.设置配置文件 在解压目录下创建my.ini文件并添加内容如下&#xff1a; ​ [client] #客户端设置&…...

Pytorch的一小步,昇腾芯片的一大步

Pytorch的一小步&#xff0c;昇腾芯片的一大步 相信在AI圈的人多多少少都看到了最近的信息&#xff1a;PyTorch最新2.1版本宣布支持华为昇腾芯片&#xff01; 1、 发生了什么事儿&#xff1f; 在2023年10月4日PyTorch 2.1版本的发布博客上&#xff0c;PyTorch介绍的beta版本…...

AI+办公 Task1

作业 题目1&#xff1a;提示词除了三要素“角色”、“背景”、“要求”之外&#xff0c;还有哪些关键要素 提示词有一个框架叫CO-STAR框架&#xff0c;还有的关键要素有风格、任务、响应格式等。 要素适用场景实际案例​Context需要限定领域或场景的任务"作为医学助手&…...

文件系统调用─── linux第17课

目录 linux 中man 2和man 3的区别 文件内容介绍 C语言文件接口 示例: 输出信息到显示器&#xff0c;你有哪些方法 总结: 系统文件I/O 文件类的系统调用接口介绍 示例 open 函数具体使用哪个,和具体应用场景相关&#xff0c; write read close lseek ,类比C文件相关接…...