【Verdi实用技巧-Part2】
Verdi实用技巧-Part2
- 2 Verdi实用技巧-Part2
- 2.1 Dump波形常用的task
- 2.1.1 Frequently Used Dump Tasks
- 2.1.2 Demo
- 2.2 提取波形信息小工具--FSDB Utilities
- 2.3 Debug in Source code view
- 2.3.1 Find Scopes By Find Scope form
- 2.3.2 Go to line in Souce code View
- 2.3.3 Use Bookmarks for Source Code
- 2.3.4 Identify False Logic
- 2.3.5 Source Code Folding
- 2.4 反标宏--Annotate Macro
- 2.5 Verdi UVM debug Advanced Tech
- 2.5.1 Trace this value
- 2.5.2 Trace X
- 2.5.3 Auto Trace
【博客首发于微信公众号《漫谈芯片与编程》,欢迎专注一下,多谢大家】
本篇文章继续介绍Verdi的实用技巧;
2 Verdi实用技巧-Part2
2.1 Dump波形常用的task
FSDB: Fast Signal Data Base(就是快速的波形文件): The FSDB stores the simulation results in an effcient and compact format foramt;
使用system tasks对verilog/VHDL设计文件来dump values into FSDB during simulation.
2.1.1 Frequently Used Dump Tasks
- $fsdbDumpfile:Specify FSDB File Name and limit the FSDB file size; 用来设置名字和限制FSDB文件大小;
----$fsdbDumpfile("chip.fsdb");
----$fsdbDumpfile("chip.fsdb",1000);
- $fsdbDumpSVA: Dump SVA results into FSDB;
----$fsdbDumpSVA;
----$fsdbDumpSVA(1,system.arbiter);
----$fsdbDumpSVA(system.arbiter,"+fsdbfile=SVA.fsdb");
- $fsdbDumpVars: Dump signal value changes of specified Instances and depth;
----$fsdbDumpvars;
----$fsdbDumpvars("+fsdbfile+my.fsdb");
----$fsdbDumpvars(0,system.i_cpu);
----$fsdbDumpvars(0,system,"+fsdbfile+novas.fsdb");
- fsdbDumpon–$fsdbDumpoff --Turn on/off FSDB dumping;
$ $fsdbAutoSwitchDumpfile–Limit FSDB file size and switch dumping to new FSDB file;
----option + no_overwrite: stop dumping into the file when the file number hits the restriction.
----$fsdbDumpoff("+fsdbfile+rtl.fsdb");
----#5us;
----$fsdbDumpon("+fsdbfile+rtl.fsdb");
- $fsdbSuppress -suppress unwanted signals or scopes during dump;
----must be specified before f s d b D u m p v a r s , fsdbDumpvars, fsdbDumpvars,fsdbDumpvarsByFile,$fsdbDumpMDA;Otherwise $fsdbSuppress will not work.
--$fsdbSuppress(instance[,instance]*); //instance means scope or signal
--$fsdbSuppress("suppress_file");
--$fsdbSuppress("file=",file_name|file_var);
--$fsdbSuppress("module_file=",module_file_name|module_file_var);
- $fsdbAutoSwitchDumpfile: limit the fsdb file size and switch dumping to new FSDB file automatically.
---- option +no_overwrite: stop dumping into the file when the file number hits the restriction.
--$fsdbAutoSwitchDumpfile(200,"my.fsdb",10); # automatically switch to a new dump file when the working FSDB size reaches 200MB;Totally keep 10 fies;
--$fsdbAutoSwtichDumpfile(1000,aaa,10,"+no_overwrite");
----FSDB file are:
-- aaa_000.fsdb
-- aaa_001.fsdb
-- aaa_002.fsdb
-- ...fsdb
-- aaa_009.fsdb
----Stop dumping;
2.1.2 Demo
写一个Dump tasks 的Demo.
initial begin$fsdbSuppress(system.i_pram);$fsdbDumpvars;$fsdbDumpvars(0,system.i_cpu.i_ALUB,"+fsdbfile+My.fsdb");#5000$fsdbDumpoff("+fsdbfile+my.fsdb");#2000$fsdbDumpon("+fsdbfile+my.fsdb");#5500$finish;
end//--$VERDI_HOME/demo/verilog/rtl/system.v
2.2 提取波形信息小工具–FSDB Utilities
- fsdbdebug: dump the FSDB info and generate a log file for debugging;
- vcd2fsdb: convert VCD into FSDB;
- fsdb2vcd: convert FSDB into VCD;
- vfast: convert Verilog,Spice,xp,rawfile,wfm, and VCD files to FSDB files;
- fsdbextract: extract signals,scopes,time periods from existed FSDB without re-simulatiing.
- fsdbreport: generate a report of value of value changes for specified signals.
- fsdbedit: modify scope hireachies in the FSDB file.
#使用 utility -h # for a list of all options or $VERDI_HOME/doc/verdi.pdf
Demo:
fsdbdebug novars.fsdb -info
2.3 Debug in Source code view
nTrace User Interface: The nTraceMain window is a souce code viewer and analyzer that operates on KDB to display the design hierarchy,signals and source code(Verilog,VHDL,SV and SystemC) for selected design blocks.
- Design Browser Pane;
- Signal List Pane;
- Source Code View;
- Message Pane;
2.3.1 Find Scopes By Find Scope form
To search for instances by Module name; Source–>Find Scope to open the form.
2.3.2 Go to line in Souce code View
- HotKey:G–>go te line;
- Go to 1st Executable command;
2.3.3 Use Bookmarks for Source Code
直接使用快捷键:
- 设置BookMark: ctrl+F2;
- Previous BookMark: Shift+F2;
- Next BookMark: F2;
2.3.4 Identify False Logic
Turn on the View–>Identify False Logic toggle in nTrace.non-active logics will be grayed out in Source Code View.
2.3.5 Source Code Folding
Source code folding make it easier to understand and view complex designs.
2.4 反标宏–Annotate Macro
When debugging designs that contain `define macros, it is often helpful to view a macro defination in the context of a macro reference.
- show tip when moving the cursor over a macro.
- Source -> Expand Macro(M key)to show macro info.
2.5 Verdi UVM debug Advanced Tech
- Highlight for the whole Verdi:selecte signals in any window. invoke RMB–>Highlight command.the color will be appied to any window.
- View Signal Values on SouceCode window. Source–>Active Annotation(X keys).
- Add to waveform : Drap and Drop the signal.
- 开启多线程启动Verdi
setenv FFR_MT_THREAD_COUNT 2
lscpu # for view the cpu core.
2.5.1 Trace this value
- trace Drives and Loads:这个是最经常用的,这就不用多说;debug的入门基本功;
- Temporal Flow View: select a signal in source code pane,click the “create Temporal Flow View”; one command for the root cause.
trace this value to locate the cause of a specific value.
2.5.2 Trace X
right-click–>trace x;
2.5.3 Auto Trace
use Verdi for tracing signals.
相关文章:
【Verdi实用技巧-Part2】
Verdi实用技巧-Part2 2 Verdi实用技巧-Part22.1 Dump波形常用的task2.1.1 Frequently Used Dump Tasks2.1.2 Demo 2.2 提取波形信息小工具--FSDB Utilities2.3 Debug in Source code view2.3.1 Find Scopes By Find Scope form 2.3.2 Go to line in Souce code View2.3.3 Use B…...
常用的AT命令,用于查看不同类型的网络信息
文章目录 1. ATCSQ:2. ATCREG:3. ATCOPS:4. ATCGATT:5. ATCGPADDR: 在AT命令集中,用于查看网络信息的命令有多种,具体取决于所使用的设备和模块。以下是一些常用的AT命令࿰…...
【应用篇】09.实现简易的Shell命令行解释器
一、shell和bash的关系 shell是命令解释器,它接收用户的命令并将其传递给内核去执行。bash,即GNU Bourne-Again Shell,是shell的一种实现方式,也是大多数linux系统下默认的shell。 bash的原理 大多数的指令进程(除了内建命令&…...
负载均衡技术【内网去外网运营商出口负载均衡】
1 负载均衡概述 LB(Load Balance,负载均衡)是一种集群技术,它将特定的业务(网络服务、网络流量等)分担给多台网络设备(包括服务器、防火墙等)或多条链路,从而提高了业务…...
【广西乡镇界】arcgis格式shp数据乡镇名称和编码2020年内容测评
【广西乡镇界】arcgis格式shp数据乡镇名称和编码2020年内容测评...
半导体数据分析: 玩转WM-811K Wafermap 数据集(一) AI 机器学习
在半导体行业,工程师依靠 CP Yield(生产过程中芯片的合格率)、WAT(晶圆验收测试)和 Particle 的晶圆图模式来识别工艺问题。然而,在没有人工干预的情况下将这些晶圆图模式分类是一项重大挑战。许多论文都研…...
mongodb安装并设置用户验证登录
下载地址 https://www.mongodb.com/try/download/community-kubernetes-operator 偶数版是稳定版,基数版是开发版 ,对32位支持不好 --------------------------------CentOS下安装mongodb--------------------------------------------------- 解压安装包…...
《零基础Go语言算法实战》【题目 1-16】字符串的遍历与比较
《零基础Go语言算法实战》 【题目 1-16】字符串的遍历与比较 给出两个字符串,请编写程序以确定能否将其中一个字符串重新排列后变成另一个字符串, 并规定大小写是不同的字符,空格也作为字符考虑。保证两个字符串的长度小于或等于 5000。 …...
VUE3封装一个Hook
在 Vue 3 中,Composition API 让我们能够封装和复用代码逻辑,尤其是通过 setup 函数进行组件间的复用。为了提高代码的可复用性,我们可以把一些常见的 API 请求和状态管理逻辑封装到一个单独的 hook 中。 以下是一个简单的例子,我…...
【Linux】Linux常见指令(上)
个人主页~ 初识Linux 一、Linux基本命令1、ls指令2、pwd命令3、cd指令4、touch指令5、mkdir指令6、rmdir指令7、rm指令8、man指令9、cp指令10、mv命令 Linux是一个开源的、稳定的、安全的、灵活的操作系统,Linux下的操作都是通过指令来实现的 一、Linux基本命令 先…...
嵌入式 C 语言:一维数组
目录 一、定义 二、内存布局 三、数组的初始化 3.1. 完全初始化 3.2. 部分初始化 3.3. 不指定大小初始化 四、使用数组 4.1. 访问数组元素 4.1.1. 通过索引访问数组元素 4.1.2. 通过指针访问数组元素 4.2. 遍历数组 4.3. 数组作为函数参数 五、应用场景 5.1. 数据…...
NineData云原生智能数据管理平台新功能发布|2024年12月版
本月发布 7 项更新,其中重点发布 2 项、功能优化 5 项。 重点发布 数据库 Devops - Oracle 非表对象支持可视化创建与管理 Oracle 非表对象,包括视图(View)、包(Package)、存储过程(Procedur…...
iOS - 自旋锁
在 Objective-C 运行时中大量使用自旋锁,主要有以下几个原因: 1. 性能考虑 上下文切换成本 // 自旋锁实现 static ALWAYS_INLINE void OSSpinLockLock(volatile OSSpinLock *lock) {do {while (lock->value ! 0) {__asm__ volatile ("pause&q…...
域名备案页面模板
域名备案模板,首页底下正中央位置需要有备案号。 主要是给不太擅长于前端样式的人提供一个备案模板,直接把这个H5放到nginx的index.html就可以访问了 <html><body><div class"login-container"><h2>登录</h2>&…...
【socketioxide和axum集成-实现websocket实时通信-Rust点滴】
socketioxide的axum集成 启动socketio依靠examle里的layer一. 使用可变State依靠axum里的example二.提取client,IP1. 非代理,tcp,socket对方地址2.代理情况下socket.req_parts. 三. axum的handle中使用emit发送消息.1. io,存入State解决.2.把io存入初始设定作为唯一单例3.http-…...
计算机网络(第8版)第3章--PPP课后习题
【3-09】 一 个PPP 帧的数据部分(用十六进制写出)是7 D 5EFE 277D 5D7D 5D657D 5E。 试问真正的数据是什么(用十六进制写出)? 解答:把由转义符7D开始的2字节序列用下画线标出: 7D 5E FE 27 7D 5D 7D 5D 65 7D 5E 7D 5E应当还原成为7E。 7D5D 应…...
通过Android Studio修改第三方jar包并重新生成jar包
最近接手了来自公司其他同事的一个Unity项目,里面有一个封装的jar包要改动一下,无奈关于这个jar包的原工程文件丢失了,于是自己动手来修改下jar包,并做下记录。 一、导入第三方jar包 1、新建项目EditJarDemo(项目名随便取) 2、新建libs文件夹,把你要修改的third.jar 复制…...
Rabbitmq 业务异常与未手动确认场景及解决方案
消费端消费异常,业务异常 与 未手动确认是不是一个场景,因为执行完业务逻辑,再确认。解决方案就一个,就是重试一定次数,然后加入死信队列。还有就是消费重新放入队列,然后重新投递给其他消费者,…...
3D机器视觉的类型、应用和未来趋势
3D相机正在推动机器视觉市场的增长。很多制造企业开始转向自动化3D料箱拣选,专注于使用3D视觉和人工智能等先进技术来简化操作并减少开支。 预计3D相机将在未来五年内推动全球机器视觉市场,这得益于移动机器人和机器人拣选的强劲增长。到 2028 年&#…...
LabVIEW在反馈控制时如何解决带约束的控制问题
在LabVIEW中,解决带约束的反馈控制问题通常需要使用先进的控制算法或特定的方法来满足约束条件,同时保证控制系统的性能和稳定性。以下是解决这类问题的一些常用方法和步骤: 1. 定义控制问题及约束条件 确定被控对象的动态特性(…...
PHP 在 2025 年的现状与展望
PHP 在 2025 年依然强劲,继续为超过 77% 使用已知服务器端编程语言的网站提供动力。这并非仅仅依靠遗留代码,像 WordPress、Shopify 和 Laravel 这样的主流平台持续推动 PHP 的发展,使其保持着 актуальность 并不断进化。 为什么…...
QT c++ 自定义按钮类 加载图片 美化按钮
如果你有需要利用图片美化按钮的情况,本文能帮助你。 鼠标左键按下按钮和松开,按钮显示不同的图片。 1.按钮类 //因为此类比较简单,1个头文件搞定,没有cpp文件 #ifndef CUSTOMBUTTON_H #define CUSTOMBUTTON_H #include <Q…...
夯实前端基础之HTML篇
知识点概览 HTML部分 1. DOM和BOM有什么区别? DOM(Document Object Model) 当网页被加载时,浏览器会创建页面的对象文档模型,HTML DOM 模型被结构化为对象树 用途: 主要用于网页内容的动态修改和交互&…...
pytest 参数介绍
命令行参数描述常见使用案例-v / --verbose显示每个测试用例的详细信息,包括测试名称和状态pytest -v-s / --captureno禁用输出捕获,允许 print() 输出显示pytest -s-q / --quiet安静模式,减少输出,仅显示每个测试的通过/失败结果…...
蓝桥杯训练
1对于一个字母矩阵,我们称矩阵中的一个递增序列是指在矩阵中找到两个字母,它们在同一行,同一列,或者在同一 45 度的斜线上,这两个字母从左向右看、或者从上向下看是递增的。 例如,如下矩阵中 LANN QIAO有…...
maven的简单介绍
目录 1、maven简介2、maven 的主要特点3、maven的下载与安装4、修改配置文件5、私服(拓展) 1、maven简介 Maven 是一个广泛使用的项目管理和构建工具,主要应用于 Java 项目。Maven 由 Apache 软件基金会开发和维护,它提供了一种简洁且一致的方法来构建、…...
超完整Docker学习记录,Docker常用命令详解
前言 关于国内拉取不到docker镜像的问题,可以利用Github Action将需要的镜像转存到阿里云私有仓库,然后再通过阿里云私有仓库去拉取就可以了。 参考项目地址:使用Github Action将国外的Docker镜像转存到阿里云私有仓库 一、Docker简介 Do…...
Kafka优势剖析-消费者组、并行消费
目录 1. 消费者组(Consumer Group) 1.1 什么是消费者组? 1.2 消费者组的工作原理 1.3 消费者组的优势 2. 并行消费(Parallel Consumption) 2.1 什么是并行消费? 2.2 并行消费的工作原理 2.3 并行消…...
MATLAB语言的多线程编程
MATLAB语言的多线程编程 引言 随着计算机技术的不断发展,尤其是在大数据和高性能计算领域,多线程编程逐渐成为一种重要的编程范式。MATLAB作为一种广泛应用于科学计算和工程模拟的高级编程语言,其强大的数学计算功能和丰富的工具箱…...
强化学习入门
RL学习路径 理解DQN原理 理解 标准版的策略梯度算法(Vanilla Policy Gradient) 模仿学习实践 Actor-Critic原理 从大模型机器人到imitation Learning与diffusion policy、ACT(Action Chunking with Transformers)的关系 大模型与机器人 tmp: 强化学习中的优势函数&#…...
Unity 2d描边基于SpriteRender,高性能的描边解决方案
目标 以Unity默认渲染管线为例,打造不需要图片内边距,描边平滑,高性能的描边解决方案 前言 在2d游戏中经常需要给2d对象添加描边,来突出强调2d对象 当你去网上查找2d描边shader,移植到项目里面,大概率会…...
2025第2周 | JavaScript中的Symbol
目录 1. Symbol是个什么东东?1.1 语法 2. 为什么需要Symbol?3. 怎么使用Symbol?3.1 定义对象字面量3.2 新增对象属性3.3 使用 Object.defineProperty方式3.4 遍历 4. 静态方法4.1 Symbol.for(key)4.2 Symbol.keyFor(symbol) 2025,做想做的事࿰…...
Unity学习之UGUI进阶
一、事件监听接口 1、作用 用于实现类型长按、双击、拖拽等基础控件无法实现的功能 所有控件都能够添加更多的事件监听来处理对应的逻辑 2、事件监听接口类型 (1)常用事件接口 (2)不常用事件接口 3、使用事件监听接口 &#…...
IT面试求职系列主题-Jenkins
想成功求职,必要的IT技能一样不能少,先说说Jenkins的必会知识吧。 1) 什么是Jenkins Jenkins 是一个用 Java 编写的开源持续集成工具。它跟踪版本控制系统,并在发生更改时启动和监视构建系统。 2)Maven、Ant和Jenkins有什么区别…...
Allure 集成 pytest
Allure 是一个强大的测试报告工具,与 pytest 集成可以生成详细的测试报告,包括测试步骤、测试数据、截图、错误堆栈等。 1. 安装 Allure 和相关依赖 安装 pytest-allure-adaptor 插件: pip install allure-pytest确保本地已安装 Allure 工具。…...
Redis高频知识点
Redis 目录 1 Redis是AP的还是CP的?2 介绍一下Redis的集群方案?3 什么是Redis的数据分片?4 Redis为什么这么快?5 Redis 的事务机制是怎样的?7 Redis的持久化机制是怎样的?8 Redis 的过期策略是怎么样的&a…...
【电子通识】PWM驱动让有刷直流电机恒流工作
电机的典型驱动方法包括电压驱动、电流驱动以及PWM驱动。本文将介绍采用PWM驱动方式的恒流工作。 首先介绍的是什么是PWM驱动的电机恒流工作,其次是PWM驱动电机恒流工作时电路的工作原理。 PWM驱动 当以恒定的电流驱动电机时,电机会怎样工作呢࿱…...
PyMysql 02|(包含项目实战)数据库工具类封装
目录 七、数据库工具类封装 1、封装的目的 2、设计数据库工具类 3、实现类方法 1️⃣获取、关闭连接 2️⃣查询一条记录 3️⃣增删改数据 4️⃣完整封装代码实现 七、数据库工具类封装 1、封装的目的 将常用的数据库操作,封装到一个方法。 后续再操作数据…...
Pixel 6a手机提示无法连接移动网络,打电话失败!
1、开启VoLTE 2、如果没有,下载shizuku和PixelIMS应用。 shizuke Releases RikkaApps/Shizuku GitHub PixellMS Release v1.2.8 kyujin-cho/pixel-volte-patch GitHub 3、安装shizuke启动,开通root可以直接点击下面的启动,如果没有就…...
ubuntu20.04 在线安装postgresql 扩展postgis
基础配置 /etc/apt/sources.list # 添加pg官方基础配置deb http://apt.postgresql.org/pub/repos/apt/ focal-pgdg main# 添加ubuntu官方依赖(防止下载依赖错误)deb http://archive.ubuntu.com/ubuntu/ focal main restricted universe multiverse de…...
fitz获取pdf内容
1.获取pdf单页,及所有内容 import fitz # PyMuPDF# 打开 PDF 文件 pdf_path r"/data2/ljsang/0106/0725_Self-organization-of-plasticity-and-specialization-in-a-primi_2022_Cell-Syst.pdfπσΣ╕╖σσ║Θ║∩╝Φ╛τ▒│σ¡τ╛π.pdf" d…...
LabVIEW软件Bug的定义与修改
在LabVIEW软件开发过程中,bug(程序错误或缺陷)指的是程序中导致不符合预期行为的任何问题。Bug可能是由于编码错误、逻辑漏洞、硬件兼容性问题、系统资源限制等因素引起的。它可能会导致程序崩溃、功能无法正常执行或输出结果不符合预期。理解…...
Vue3(elementPlus) el-table替换/隐藏行箭头,点击整行展开
element文档链接: https://element-plus.org/zh-CN/component/form.html 一、el-table表格行展开关闭箭头替换成加减号 注:Vue3在样式中修改箭头图标无效,可能我设置不对,欢迎各位来交流指导 转变思路:隐藏箭头&…...
HTML 音频(Audio)
HTML 音频(Audio) HTML5 引入了新的音频标签 <audio>,使得在网页上嵌入音频文件变得更加简单。在此之前,播放音频通常需要依赖于第三方插件,如 Flash。但随着 HTML5 的普及,浏览器原生支持音频播放,极大地提升了用户体验和网页性能。 基本用法 要使用 HTML5 的音…...
linux音视频采集技术: v4l2
简介 在 Linux 系统中,视频设备的支持和管理离不开 V4L2(Video for Linux 2)。作为 Linux 内核的一部分,V4L2 提供了一套统一的接口,允许开发者与视频设备(如摄像头、视频采集卡等)进行交互。无…...
基于高斯混合模型的数据分析及其延伸应用(具体代码分析)
一、代码分析 (一)清除工作区和命令行窗口 clear; clc;clear;:该命令用于清除 MATLAB 工作区中的所有变量,确保代码运行环境的清洁,避免之前遗留的变量对当前代码运行产生干扰。例如,如果之前运行的代码中…...
内网基础-防火墙-隧道技术
内网对抗-网络通讯篇&防火墙组策略&入站和出站规则&单层双层&C2正反向上线 关闭第一个防火墙: 第一个上线就走反向或者正向 第二个上线走反向(第二个防火墙阻止入站) 关闭第二个防火墙: 第一个上线就走反向&a…...
123.【C语言】数据结构之快速排序挖坑法和前后指针法
目录 1.挖坑法 执行流程 代码 运行结果 可读性好的代码 2.前后指针法(双指针法) 执行流程 单趟排序代码 将单趟排序代码改造后 写法1 简洁的写法 3.思考题 1.挖坑法 执行流程 "挖坑法"顾名思义:要有坑位,一开始将关键值放入临时变量key中,在数组中形成…...
【沉默的羔羊心理学】汉尼拔的“移情”游戏:操纵与理解的艺术,精神分析学视角下的角色互动
终极解读《沉默的羔羊》:弗洛伊德精神分析学视角下的深层剖析 关键词 沉默的羔羊弗洛伊德精神分析学角色心理意识与潜意识性别与身份 弗洛伊德精神分析学简介 弗洛伊德的精神分析学是心理学的一个重要分支,主要关注人类行为背后的无意识动机和冲突。…...
Bytebase 3.0.1 - 可配置在 SQL 编辑器执行 DDL/DML
🚀 新功能 新增环境策略,允许在 SQL 编辑器内直接执行 DDL/DML 语句。 支持为 BigQuery 数据脱敏。 在项目下新增数据访问控制及脱敏管理页面。 在数据库页面,支持回滚到变更历史的某个版本。 🔔 兼容性变更 禁止工单创建…...