当前位置: 首页 > news >正文

【驱动设计的硬件基础】CPLD和FPGA

在数字电路设计领域,CPLD(复杂可编程逻辑器件)和 FPGA(现场可编程门阵列)堪称 “变形金刚” 般的存在。它们既能像 ASIC(专用集成电路)一样实现硬件加速,又能通过软件编程快速迭代功能,完美平衡了灵活性与性能。对于驱动设计而言,这两种器件是构建高速接口、实时控制和算法加速的核心硬件基础。


目录

一、基础认知:从定义到架构差异

1.1 器件本质

1.2 核心参数对比表

二、核心架构对比:乘积项与查找表的 “基因差异”

2.1 CPLD:基于乘积项的 “硬逻辑”

2.2 FPGA:基于查找表的 “软积木”

2.3 架构差异的本质影响

三、性能参数对比:速度、功耗与集成度的 “三角博弈”

3.1 速度与延迟

3.2 功耗表现

3.3 集成度与成本

四、应用场景解析:从工业控制到 AI 边缘计算的 “场景适配”

4.1 CPLD 的典型应用

4.2 FPGA 的典型应用

4.3 选型决策树

五、开发流程与工具链:从代码到硬件的 “全链路解析”

5.1 CPLD 开发流程

5.2 FPGA 开发流程

5.3 国产 EDA 与工具链

六、技术趋势与前沿探索:从 3D 堆叠到量子计算的 “未来战场”

6.1 3D 堆叠与 Chiplet 技术

6.2 低功耗与能效优化

6.3 AI 与边缘计算融合

6.4 量子计算与新兴领域

七、选型建议:如何选择你的 “数字搭档”

7.1 核心决策因素

7.2 厂商与型号推荐

7.3 未来展望


一、基础认知:从定义到架构差异

1.1 器件本质

CPLD和FPGA均属于可编程逻辑器件(PLD)家族,但演化路径截然不同:

  • CPLD:由PAL/GAL器件发展而来,采用"与或阵列+宏单元"架构,逻辑资源以逻辑块为单位分布,通过集中式互连矩阵实现信号传输。
  • FPGA:基于查找表(LUT)技术,由可配置逻辑块(CLB)、开关矩阵、嵌入式存储器(BRAM)和I/O模块构成,采用分布式布线结构。

1.2 核心参数对比表

特性CPLDFPGA
逻辑规模500~50,000门(典型)1K~10M门(当前上限)
互连方式连续式布线(可预测延时)分段式布线(延时不确定)
编程技术EEPROM/Flash(非易失)SRAM(易失,需外部配置)
典型功耗静态功耗低,动态功耗可控动态功耗随资源利用率变化
时序特性引脚间延时固定需时序约束优化

二、核心架构对比:乘积项与查找表的 “基因差异”

2.1 CPLD:基于乘积项的 “硬逻辑”

  • 结构基础:CPLD 的核心是由 “与阵列” 和 “或阵列” 构成的乘积项结构,类似于早期的 PAL/GAL 器件。每个宏单元通过可编程熔丝连接,形成固定的逻辑表达式。
  • 典型厂商与型号
    • Intel(原 Altera)的 MAX 系列(如 MAX V):集成片上 Flash、RAM 和振荡器,静态功耗低至 45μW。
    • Lattice 的 XO2 系列:支持低电压(1.2V)和小封装(QFN),适用于电池供电设备。

  • 关键特性
    • 非易失性:配置数据存储在 EEPROM 或 Flash 中,上电即可运行,无需外部配置芯片。
    • 固定延迟:全局布线结构保证了时序延迟的均匀性和可预测性,适合对实时性要求高的控制逻辑。
    • 低功耗:静态功耗远低于 FPGA,尤其在待机状态下优势明显。

2.2 FPGA:基于查找表的 “软积木”

  • 结构基础:FPGA 的基本单元是查找表(LUT)和寄存器。每个 LUT 本质上是一个小容量 RAM,通过预存真值表实现组合逻辑,寄存器则用于时序逻辑。
  • 典型厂商与型号
    • Xilinx 的 Versal 系列:采用 7nm 工艺,集成双核 ARM 处理器和 AI 引擎,支持 3D 堆叠技术。
    • Intel 的 Agilex 系列:基于 10nm SuperFin 工艺,支持 HBM2e 存储器和 PCIe 5.0,适用于数据中心和 AI 推理。

  • 关键特性
    • 易失性:配置数据存储在 SRAM 中,需外挂 Flash 芯片,上电后通过 JTAG 或 SPI 接口加载。
    • 灵活布线:分段式互连结构允许动态重构,适合复杂算法和并行处理,但延迟不可预测。
    • 高集成度:可集成硬核 DSP、存储器、高速收发器(如 112Gbps PAM4),甚至 ARM 处理器。

2.3 架构差异的本质影响

  • 逻辑实现方式:CPLD 适合简单组合逻辑(如译码器、状态机),而 FPGA 擅长时序逻辑(如流水线、计数器)和并行计算(如 FFT、卷积神经网络)。
  • 资源利用率:CPLD 的乘积项结构在实现复杂逻辑时可能浪费资源,而 FPGA 的 LUT 可以灵活组合,资源利用率更高。
  • 成本与功耗:CPLD 成本低、功耗低,适合低成本、低功耗场景;FPGA 成本高、功耗高,但性能强大,适合高端应用。

三、性能参数对比:速度、功耗与集成度的 “三角博弈”

3.1 速度与延迟

  • CPLD:全局布线结构使信号延迟固定,典型延迟在 5-20ns,适合 100MHz 以下的时钟频率。例如,Intel MAX V 的最大时钟频率可达 300MHz,但实际应用中多在 200MHz 以内。
  • FPGA:分段式布线导致延迟依赖布局布线结果,通过时序约束可实现亚纳秒级延迟,支持 500MHz 以上的高频时钟。例如,Xilinx Versal 的 GTM 收发器支持 400MHz 时钟。
  • 应用场景:CPLD 用于工业控制(如 PLC、电机驱动)、汽车电子(如尾灯控制、CAN 总线);FPGA 用于通信(如 5G 基站、光纤传输)、图像处理(如实时视频编解码)。

3.2 功耗表现

  • 静态功耗:CPLD 采用 EEPROM/Flash 工艺,漏电电流极低,静态功耗通常在 μW 级(如 Intel MAX V 为 45μW);FPGA 的 SRAM 工艺导致静态功耗较高,尤其在深亚微米工艺下,漏电功耗占比显著。
  • 动态功耗:FPGA 的动态功耗与时钟频率、信号翻转率成正比,可通过门控时钟、低电压设计优化;CPLD 的动态功耗相对较低,但逻辑规模受限。
  • 功耗管理:FPGA 支持电源门控、多电压域和动态电压频率缩放(DVFS),适合电池供电设备;CPLD 功耗低,无需复杂电源管理。

3.3 集成度与成本

  • 逻辑规模:CPLD 的逻辑单元(LE)通常在几千到几万门(如 Altera MAX II 为 240-2280LE);FPGA 可达数百万门(如 Xilinx Virtex UltraScale + 为 440 万 LE)甚至亿门级(如 Intel Agilex M 系列)。
  • 成本对比:CPLD 单价通常在$1-$10,适合大批量生产;FPGA 价格从 $10 到数千美元不等,高端型号(如 Xilinx Versal VP1902)成本极高,适合小批量或原型验证。
  • 开发成本:FPGA 需购买昂贵的 EDA 工具(如 Xilinx Vivado、Intel Quartus Prime)和 IP 核;CPLD 开发工具相对简单,部分厂商(如 Lattice)提供免费工具。

四、应用场景解析:从工业控制到 AI 边缘计算的 “场景适配”

4.1 CPLD 的典型应用

  • 工业自动化
    • 案例:AGM AG32 MCU+CPLD 实现电机控制和传感器融合,CPLD 负责 PWM 生成、编码器接口和故障保护。
    • 优势:固定延迟确保实时响应,低功耗适合长期运行,成本低便于批量部署。

  • 汽车电子
    • 案例:2025 年 EDA 课程设计中的汽车尾灯控制系统,CPLD 实现转向、刹车信号的时序逻辑和 LED 驱动。
    • 优势:抗干扰能力强,符合 AEC-Q100 车规认证,支持宽温范围(-40°C~125°C)。

  • 嵌入式系统
    • 案例:AG1280 CPLD 与 STM32 MCU 配合实现 SPI 接口的任意波形 DDS(直接数字合成器),CPLD 负责地址累加和波形存储。
    • 优势:片上 RAM 和 PLL 资源丰富,替代传统分立式逻辑芯片,简化 PCB 设计。

4.2 FPGA 的典型应用

  • 通信与网络
    • 案例:米尔 ZU3EG FPGA 开发板部署 Tiny YOLO V4,实现智能家居的实时物体检测,帧率可达 30FPS。
    • 优势:并行处理能力强,支持高速 SerDes 接口(如 112Gbps PAM4),适配 5G NR 和 O-RAN 协议。

  • AI 与边缘计算
    • 案例:Xilinx Versal ACAP 集成 AI 引擎,在边缘设备上实现 TensorFlow Lite 模型推理,功耗仅为 GPU 的 1/10。
    • 优势:动态重构支持算法迭代,低延迟(<2ms)满足自动驾驶传感器融合需求。

  • 高性能计算
    • 案例:Intel Agilex FPGA 集成 HBM2e 存储器,实现 820GBps 的带宽,加速科学计算和大数据处理。
    • 优势:异构计算架构(FPGA+CPU+AI 引擎)支持混合精度计算和任务卸载。

4.3 选型决策树

需求场景推荐器件理由
简单控制逻辑(如 UART、I2C)CPLD低成本、低功耗、固定延迟
高速数据流(如 10G 以太网)FPGA并行处理、高速 SerDes 接口
实时性要求高(如工业控制)CPLD可预测延迟、抗干扰能力强
复杂算法(如 FFT、CNN)FPGA硬件加速、动态重构
低功耗、电池供电CPLD静态功耗 μW 级、无需外部配置芯片
原型验证、小批量生产FPGA灵活迭代、IP 核复用

五、开发流程与工具链:从代码到硬件的 “全链路解析”

5.1 CPLD 开发流程

  • 设计输入
    • 工具:Altera Quartus Prime、Lattice Diamond、国产 Supera(需配合 Quartus)。
    • 方式:HDL 语言(Verilog/VHDL)、原理图输入、状态机编辑器。
  • 综合与适配
    • 工具:Synplify Premier(第三方综合器)、厂商自带适配器(如 Quartus 的 Fitter)。
    • 优化:逻辑化简、资源共享、时序约束(如设置最大延迟、时钟频率)。
  • 仿真与验证
    • 工具:ModelSim、Quartus Simulator。
    • 类型:功能仿真(前仿真)、时序仿真(后仿真),验证逻辑功能和时序收敛性。
  • 编程下载
    • 方式:JTAG(常用)、ISP(在系统编程),配置文件为.pof(Altera)或.jed(Xilinx)。
    • 注意:CPLD 为非易失性,编程后掉电不丢失,无需额外配置芯片。

5.2 FPGA 开发流程

  • 设计输入
    • 工具:Xilinx Vivado、Intel Quartus Prime、开源工具(如 Yosys+Nextpnr)。
    • IP 核:硬核 DSP、存储器控制器、PCIe IP(需购买或使用厂商免费 IP)。
  • 综合与实现
    • 工具:Vivado 综合器、Quartus Analysis & Synthesis。
    • 步骤:RTL 代码→门级网表→布局布线→生成比特流(.bit 文件)。
  • 仿真与调试
    • 工具:Vivado Simulator、QuestaSim、ChipScope(在线逻辑分析仪)。
    • 挑战:大规模设计需分层仿真,时序收敛需反复优化约束(如 set_multicycle_path)。
  • 配置与验证
    • 方式:JTAG、从串(SPI)、从并(BPI),配置文件为.bit(Xilinx)或.sof(Intel),需外挂 Flash 芯片(如 QSPI NOR Flash)。
    • 动态重构:部分 FPGA(如 Xilinx UltraScale+)支持部分重配置,运行中切换功能模块。

5.3 国产 EDA 与工具链

  • 现状:国产厂商如紫光同创、安路科技、智多晶已推出自主 EDA 工具,支持 CPLD 和中低端 FPGA 开发。
    • 案例:智多晶 HqFpga 工具支持从 Verilog 输入到比特流生成的全流程,兼容国产 SA5T 系列 FPGA。
  • 挑战:高端 FPGA 工具链仍依赖国际厂商(如 Xilinx Vivado),国产工具在时序优化和 IP 生态上有待完善。
  • 趋势:政策推动下,国产 EDA 工具链加速自主化,预计 2030 年实现中高端 FPGA 设计全流程覆盖。

六、技术趋势与前沿探索:从 3D 堆叠到量子计算的 “未来战场”

6.1 3D 堆叠与 Chiplet 技术

  • 技术突破
    • Intel Agilex M 系列采用 3D SiP 封装,集成 HBM2e 存储器和 FPGA 逻辑核,带宽提升 10 倍,功耗降低 40%。
    • Xilinx Versal 采用第四代堆叠硅互连(SLR),通过多芯片模块(MCM)实现系统级集成,支持 1850 万逻辑单元。
  • 应用场景:数据中心加速卡、AI 推理芯片、高性能计算平台,解决 “内存墙” 和功耗瓶颈。

6.2 低功耗与能效优化

  • 工艺革新
    • 16/12nm FinFET 工艺降低漏电电流,7nm 及以下工艺支持更高集成度和更低电压(如 0.6V 内核)。
    • 氧化铪(HfO₂)High-K 介质替代传统 SiO₂,减少栅极漏电流,提升能效比。
  • 设计方法
    • 门控时钟、多电压域、DVFS(动态电压频率缩放)降低动态功耗。
    • 硬件 / 软件协同优化(如 HLS 高层次综合)减少资源浪费,提升能效。

6.3 AI 与边缘计算融合

  • 算法硬件化
    • FPGA 通过量化(如 INT8)和模型压缩(如 Tiny YOLO)加速 AI 推理,延迟低于 2ms,功耗仅为 GPU 的 1/10。
    • 国产厂商如高云、安路推出 AI 专用 FPGA,集成张量加速器(如 GEMM 引擎),支持 ONNX 模型转换。
  • 场景扩展
    • 工业质检:基于 FPGA 的实时缺陷检测,支持多摄像头并行处理。
    • 自动驾驶:激光雷达点云处理、多传感器融合,满足 ISO 26262 功能安全要求。

6.4 量子计算与新兴领域

  • 量子控制:FPGA 用于超导量子比特的时序控制和低温电子学,如本源量子、IBM 的量子计算机控制系统。
  • 光子计算:硅光芯片(PIC)与 FPGA 协同,实现光信号的逻辑处理和路由,延迟降至 10ps 级。
  • 6G 通信:太赫兹频段信号处理、智能反射面(RIS)控制,FPGA 凭借可重构性成为关键技术平台。

七、选型建议:如何选择你的 “数字搭档”

7.1 核心决策因素

  • 逻辑规模
    • <1 万门:CPLD(如 Altera MAX V、Lattice XO2)。
    • 10 万门:FPGA(如 Xilinx Artix、Intel Cyclone V)。

  • 性能需求
    • 高频时钟(>200MHz)、并行计算:FPGA。
    • 固定延迟、实时控制:CPLD。
  • 功耗限制
    • 电池供电、低功耗:CPLD(<1mW 静态功耗)。
    • 高性能计算、数据中心:FPGA(需散热设计)。
  • 成本预算
    • 大批量、低成本:CPLD(<$10 / 片)。
    • 高端原型、小批量:FPGA($100+$1000 / 片)。

7.2 厂商与型号推荐

  • CPLD
    • 低成本:Altera MAX II(EPM240T100C5N,约 $2)、Lattice XO2-256(约 $3)。
    • 高性能:Intel MAX V(5M570ZT100I5N,约 $10)、国产 AG1280(约 $5)。
  • FPGA
    • 入门级:Xilinx Artix-7(XC7A35T,约 $50)、Intel Cyclone V(5CEBA4F23C7N,约 $100)。
    • 高端型号:Xilinx Versal VP1902(约 $10,000)、Intel Agilex M 系列(约 $5,000)。

7.3 未来展望

  • 技术融合:FPGA 集成 CPU、AI 引擎和传感器接口,形成 SoC 级解决方案(如 Xilinx Zynq、Intel Arria 10)。
  • 开源生态:RISC-V 架构与 FPGA 结合,降低开发门槛,推动硬件设计民主化(如 SiFive+Xilinx 合作项目)。
  • 绿色计算:低功耗 FPGA(如 Microchip PolarFire)在物联网和边缘设备中普及,助力碳中和目标。

在驱动设计中,根据具体需求选择合适的器件,结合高效的开发流程和前沿技术,定能打造出高性能、高可靠性的硬件系统。无论是工业控制的 “稳准狠”,还是 AI 边缘的 “快灵省”,CPLD 和 FPGA 都将是您数字世界的得力搭档!


相关文章:

【驱动设计的硬件基础】CPLD和FPGA

在数字电路设计领域&#xff0c;CPLD&#xff08;复杂可编程逻辑器件&#xff09;和 FPGA&#xff08;现场可编程门阵列&#xff09;堪称 “变形金刚” 般的存在。它们既能像 ASIC&#xff08;专用集成电路&#xff09;一样实现硬件加速&#xff0c;又能通过软件编程快速迭代功…...

JavaScript中Object()的解析与应用

在JavaScript中&#xff0c;Object() 是一个基础构造函数&#xff0c;用于创建对象或转换值为对象类型。它既是语言的核心组成部分&#xff0c;也提供了一系列静态方法用于对象操作。以下是详细解析和应用示例&#xff1a; 一、Object() 的基本行为 作为构造函数&#xff08;…...

Spring Cloud 微服务(负载均衡策略深度解析)

&#x1f4cc; 摘要 在微服务架构中&#xff0c;负载均衡是实现高可用、高性能服务调用的关键机制之一。Spring Cloud 提供了基于客户端的负载均衡组件 Ribbon&#xff0c;结合 Feign 和 OpenFeign&#xff0c;实现了服务间的智能路由与流量分配。 本文将深入讲解 Spring Clo…...

从单体架构到微服务:微服务架构演进与实践

一、单体架构的困境与演进 &#xff08;一&#xff09;单体应用的初始优势与演进路径 在系统发展的初期&#xff0c;单体架构凭借其简单性和开发效率成为首选。单体应用将整个系统的所有功能模块整合在一个项目中&#xff0c;以单一进程的方式运行&#xff0c;特别适合小型系…...

Infineon AURIX TriCore TC3xx芯片内存专题报告

作者: DBGAUTOMAN 日期: 2025-06-28 摘要 本报告旨在深入分析Infineon AURIX TriCore TC3xx系列微控制器的内存架构。通过对官方技术文档的系统性研究,报告详细阐述了TC3xx的内存配置、架构设计、存储器技术特性、系统级内存管理以及性能优化策略,为相关技术开发和系统设计…...

WPF中获取主窗体

在WPF的MVVM模式中&#xff0c;通常不直接引用主窗体&#xff08;MainWindow&#xff09;&#xff0c;而是通过依赖注入、事件聚合器或命令参数传递等方式实现逻辑解耦。以下是几种推荐方法&#xff1a; 方法1&#xff1a;依赖注入&#xff08;推荐&#xff09; 在ViewModel中…...

【龙泽科技】新能源汽车故障诊断仿真教学软件【吉利几何G6】

产品简介 新能源汽车故障诊断仿真教学软件是依托《全国职业院校技能大赛》“新能源汽车维修”赛项中“新能源汽车简单故障诊断与排除” 竞赛模块&#xff0c;自主开发的一款仿真教学软件。软件采用仿真技术模拟实际的新能源汽车故障诊断过程&#xff0c;主要通过对新能源汽车常…...

SpringBoot -- 以 jar 包运行(以及常见错误分析)

7.SpringBoot 以 jar 包运行 打包 在打包之前先要导入一个maven项目的打包插件&#xff0c;使用 springInitializr 创建的 maven 项目&#xff0c;已经自动导入了。如果没有需要手动导入。将下面代码&#xff0c;放进 Pom.xml 里面即可。 <build><plugins><p…...

求职招聘小程序源码招聘小程序搭建招聘小程序定制开发

身份&#xff1a;求职者、企业 求职者&#xff1a;完善简历&#xff0c;简历投递 企业&#xff1a;企业入驻&#xff0c;查看简历 企业会员&#xff1a;半年 、年度 权益&#xff1a;每日发布条数、刷新条数&#xff0c;简历下载数量 聊天&#xff1a;求职者可以和企业聊…...

Day44 预训练模型

目录 一、预训练的概念 二、常见的分类预训练模型 2.1 CNN架构预训练模型 2.2 Transformer类预训练模型 2.3 自监督预训练模型 三、图像预训练模型的发展史 四、预训练的策略 五、预训练代码实战&#xff1a;resnet18 六、尝试在cifar10对比alexnet 七、尝试通过ctrl进…...

【菜狗的记录】模糊聚类最大树、图神经网络、大模型量化——20250627

每日学习过程中记录的笔记&#xff0c;从各个网站整理下来&#xff0c;用于当日复盘。 如果其中的知识点能帮到你&#xff0c;也很荣幸呀。 -------------------------------------------------------20250622------------------------------------------------------------- …...

【Linux 设备模型框架 kobject 和 kset】

Linux 设备模型框架 kobject 和 kset 一、Linux 设备模型概述二、kobject 与 kset 的核心概念1. kobject2. kset3. 关键数据结构 三、kobject 与 kset 的实现源码四、源码解析与使用说明1. kset 的创建与初始化2. kobject 的创建与属性3. sysfs 属性操作4. 用户空间访问示例 五…...

leetcode.2014 重复k次的最长子序列

题目描述 解题思路 这一题本来在想怎么样做才能获得通用解&#xff0c;因为乍一看总感觉遍历的时间代价会非常高。直到后面看到提示&#xff1a; 提示里面专门包含了一个n < k * 8&#xff0c;这太反常了。后面仔细一想&#xff0c;有道理&#xff0c;最后答案的字符个数一定…...

机器学习3——参数估计之极大似然估计

参数估计 问题背景&#xff1a; P ( ω i ∣ x ) p ( x ∣ ω i ) P ( ω i ) p ( x ) p ( x ) ∑ j 1 c p ( x ∣ ω j ) P ( ω j ) \begin{aligned} & P\left(\omega_i \mid \mathbf{x}\right)\frac{p\left(\mathbf{x} \mid \omega_i\right) P\left(\omega_i\right)…...

利用python实现NBA数据可视化

大家好&#xff0c;今天我们利用python爬取NBA球星每年的比赛数据并进行可视化展示。主要用到三个模块&#xff1a;xpath、matplotlib。其中xpth负责爬取网站上的信息。Matplotlib是Python开发人员常用的Python绘图库&#xff0c;可以用来绘制各种2D图形&#xff0c;具有绘图质…...

杭州西湖断桥不断:3D扫描还原‘残雪‘视觉骗局

“断桥残雪”是西湖十景之一&#xff0c;所谓“视觉骗局”指的是在特定条件下&#xff0c;从远处看断桥仿佛断开的奇妙视觉效果。利用3D扫描技术还原这一效果可按以下步骤进行&#xff1a; 数据采集 3D扫描断桥&#xff1a;使用高精度的3D激光扫描仪对断桥及其周边环境进行全面…...

Dubbo服务调用超时问题解决方案

Dubbo服务调用超时问题解决方案 Dubbo服务调用超时通常由网络延迟、服务端性能瓶颈、配置不当或资源竞争引发。以下解决方案基于根本原因分类&#xff0c;优先采用高可信度实践&#xff1a; &#x1f50d; 一、排查问题根源 网络诊断 使用 ping、telnet 检查服务提供者网络连…...

视觉疲劳检测如何优化智能驾驶的险情管理

视觉分析疲劳检测在智能驾驶中的应用研究 一、背景与需求 近年来&#xff0c;智能驾驶领域因疲劳驾驶引发的交通事故频发&#xff0c;如2025年某品牌智能汽车因驾驶员疲劳导致高速追尾事件&#xff0c;暴露了现有技术对复杂场景的适应不足。传统疲劳检测依赖单一生理信号或车…...

C++ 第三阶段 并发与异步 - 第二节:异步任务(std::async)

目录 一、std::async 概述 1. std::async 的定义 二、std::async 的基本用法 1. 基本语法 (1) 函数调用 (2) Lambda 表达式 三、执行策略详解 1. std::launch::async 2. std::launch::deferred 3. 默认策略&#xff08;std::launch::any&#xff09; 四、std::futur…...

OpenCV图像添加水印

一、前言 在数字图像处理中&#xff0c;为图片添加水印是一项常见且重要的技术。无论是版权保护、品牌宣传还是防止未经授权的使用&#xff0c;水印都能发挥重要作用。OpenCV作为一款强大的计算机视觉库&#xff0c;提供了丰富的功能来实现各种水印效果。本教程将详细介绍如何…...

Linux信号机制:从入门到精通

嘿&#xff0c;小伙伴们&#xff01;今天我要和大家聊一个Linux系统中非常有趣又重要的话题——信号机制。别担心&#xff0c;虽然信号听起来有点高深&#xff0c;但我会用最通俗易懂的语言&#xff0c;配合清晰的图表&#xff0c;带你彻底搞懂这个概念&#xff01; 什么是信号…...

EXCEL数据报表

客单价成交金额*成交客户数 —— 提取年份 YEAR() 视图-窗口-新建窗口&#xff0c;就能将excel的一个子表格单拎出来成为独立窗口&#xff0c;方便对比查看 数据报表的单元格尽量都用公式来填补&#xff0c;链接到源表上去。这样当源表有新数据更新进来后&#xff0c;报表也…...

openGL学习(VAO和VBO)

理论 VBO void prepare() {//创建一个VBO,但是还没有分配显存GLuint vbo 0;GL_CALL( glGenBuffers(1, &vbo));cout << "vbo " << vbo << endl;//销毁一个VBOGL_CALL(glDeleteBuffers(1, &vbo));cout << "delete vbo "…...

【请关注】制造企业机械加工数据脱敏解决方案

制造企业机械加工数据脱敏解决方案 一、方案概述 在制造企业尤其是机械加工领域,数字化转型带来了生产效率的大幅提升,大量生产数据、设备运行数据、供应链数据以及客户订单数据等成为企业发展的关键驱动力。然而,这些数据中包含众多敏感信息,如客户定制产品的设计图纸(…...

2025.6.27总结

最近工作又开始内耗了&#xff0c;一位同事的转岗直接让我破防了&#xff0c;明明他工作干得很不错&#xff0c;会得又多&#xff0c;性格又好&#xff0c;我还经常请教他业务上的问题。我和他的关系并不算太好&#xff0c;但他加入其他部门&#xff0c;竟然让我有些不舍&#…...

Python打卡:Day38

知识点回顾&#xff1a; Dataset类的__getitem__和__len__方法&#xff08;本质是python的特殊方法&#xff09;Dataloader类minist手写数据集的了解 浙大疏锦行...

Ubuntu18.04/Mysql 5.7 建立主备模式Mysql集群

一、数据库的安装 详见https://www.jianshu.com/p/5073177eedf2 本文实验环境为阿里云的两台ubuntu18.04服务器&#xff1a; master ip: 172.26.138.7 slave ip: 172.26.0.209 二、修改Master的配置(# 的行是我后增加的部分)&#xff1a; 编辑 /etc/mysql/mysql.conf.d/mysqld.…...

Linux journal 日志大小限制与管理详解

文章目录 Linux journal 日志大小限制与管理详解journal 日志的默认存储位置journal 日志大小限制配置查看当前日志占用情况手动清理日志文件按大小清理日志按时间清理日志按文件数清理日志 journald 日志机制原理简析&#xff08;适当加点原理&#xff09;日志筛选与导出技巧&…...

Linux基本指令篇 —— tac指令

tac 是 Linux 系统中一个非常实用的文本处理命令&#xff0c;它是 cat 命令的反向操作&#xff08;名称也是 "cat" 的反写&#xff09;。tac 是一个简单但功能强大的工具&#xff0c;特别适合需要反向处理文本数据的场景&#xff1a; 目录 一、基本功能 二、基本语法…...

【Yonghong 企业日常问题08 】永洪BI的Apache Tomcat版本升级指南

文章目录 前言操作步骤登录验证 前言 某公司业务永洪BI系统使用tomcat 9.0.97版本&#xff0c;接到总公司漏洞扫描整改要求需要将tomcat版本升级到9.0.97以上。 目标&#xff1a;tomcat 9.0.97》 9.0.98 1、下载tomcat所需要的版本 地址:https://tomcat.apache.org/download-…...

动手学Python:从零开始构建一个“文字冒险游戏”

动手学Python&#xff1a;从零开始构建一个“文字冒险游戏” 大家好&#xff0c;我是你的技术向导。今天&#xff0c;我们不聊高深的框架&#xff0c;也不谈复杂的算法&#xff0c;我们来做一点“复古”又极具趣味性的事情——用Python亲手打造一个属于自己的文字冒险游戏&…...

【C/C++】C++26新特性前瞻:全面解析未来编程

展望未来&#xff1a;C26 新特性全面解析 随着 C 标准每三年一次的迭代节奏&#xff0c;C26&#xff08;预计于 2026 年底正式发布&#xff09;正在逐步成型。相比 C20 的革命性更新和 C23 的“修补增强”&#xff0c;C26 继续推进现代 C 的理念——更安全、更高效、更模块化&…...

Linux系统日志与守护进程开发实战指南

Linux系统日志与守护进程开发实战指南 系统日志与守护进程 ├── 系统日志syslog │ ├── 日志路径: /var/log/syslog │ └── 核心API │ ├── openlog │ ├── syslog │ └── closelog └── 守护进程daemon└── 创建步骤├── um…...

兰洋科技上合组织论坛发表专题分享,全球液冷布局引领绿色算力未来

2025年6月17-19日&#xff0c;中国—上海合作组织数字技术合作发展论坛在新疆克拉玛依市举办。作为第四次上海合作组织成员国信息通信技术发展部门负责人会议的配套会议&#xff0c;论坛以“数字化转型助力可持续发展&#xff0c;数字包容促进上合共同繁荣”为主题&#xff0c;…...

桌面小屏幕实战课程:DesktopScreen 11 SPI 水墨屏

飞书文档https://x509p6c8to.feishu.cn/docx/doxcnlzpIgj3gosCZufBTCZxlMb SPI说明 SPI是串行外设接口&#xff08;Serial Peripheral Interface&#xff09;的缩写&#xff0c;是一种高速的&#xff0c;全双工&#xff0c;同步的通信总线&#xff0c;并且在芯片的管脚上占用…...

小知识点五、无刷电机闭环控制(电流)

0 前言 该部分只用于自学使用&#xff0c;作为笔记方便后续自查。 资料参考&#xff1a;http://dengfoc.com 硬件&#xff1a;2208云台电机MT6701磁编码器 1 电流控制理论 1.1 待解决的问题 简单回顾一下在之前的学习中&#xff0c;我们通过 U q U_q Uq​和电角度 θ \the…...

Java 编程之备忘录模式

前言 有时候&#xff0c;我们真希望人生能有“CtrlZ”。在日常生活中&#xff0c;我们经常使用“撤销”功能&#xff0c;例如在写 Word、画图、写代码时一不小心操作失误&#xff0c;就希望能回到之前的状态。这种**“状态快照 恢复”**机制&#xff0c;在设计模式中就叫做&a…...

SQL SERVER存储过程

什么是存储过程 SQL 存储过程&#xff08;Stored Procedure&#xff09;是一个在数据库中预编译并存储的一组 SQL 语句。它们可以包含查询、插入、更新、删除等数据库操作&#xff0c;甚至包括控制流语句&#xff08;如条件判断、循环等&#xff09;。存储过程可以通过调用来执…...

Vue样式绑定与条件渲染详

一、Vue样式绑定 在Vue中&#xff0c;我们可以通过多种方式动态地绑定样式&#xff0c;让界面根据数据状态变化而自动更新样式。 1. class样式绑定 (1) 字符串写法 适用场景&#xff1a;样式的类名不确定&#xff0c;需要动态指定 <template><div><!-- 绑定…...

python基于协同过滤的动漫推荐系统

目录 技术栈介绍具体实现截图系统设计研究方法&#xff1a;设计步骤设计流程核心代码部分展示研究方法详细视频演示试验方案论文大纲源码获取/详细视频演示 技术栈介绍 Django-SpringBoot-php-Node.js-flask 本课题的研究方法和研究步骤基本合理&#xff0c;难度适中&#xf…...

光场操控新突破!3D 光学信息处理迎来通用 PSF 工程时代--《自然》子刊:无需复杂算法,这一技术让 3D 光学成像实现 “即拍即得”念日

导语 在光学成像领域&#xff0c;如何突破分辨率与成像速度的瓶颈&#xff0c;一直是科研人员探索的焦点。近日&#xff0c;加州大学洛杉矶分校&#xff08;UCLA&#xff09;的研究团队在《Light: Science & Applications》发表论文&#xff0c;提出了一种通用点扩散函数&a…...

ubuntu20.04如何给appImage创建快捷方式

ubuntu20.04如何给appImage创建快捷方式 1. 确保AppImage是可执行的 chmod x /path/to/your/appimage2. 创建.desktop文件 在~/.local/share/applications/目录下创建一个新的 .desktop 文件&#xff1a; vi ~/.local/share/applications/your-appname.desktop添加以下内容…...

网络安全之SQL RCE漏洞

引言 堡垒机&#xff08;Bastion Host&#xff09;&#xff0c;也称为跳板机或运维安全审计系统&#xff0c;是一种用于管理和控制对内部网络资源访问的安全设备。它的主要作用是作为运维人员访问内部服务器和网络设备的唯一入口&#xff0c;通过集中化的身份认证、权限管理和…...

DeepSeek网页版随机点名器

用DeepSeek帮我们生成了一个基于html5的随机点名器&#xff0c;效果非常棒&#xff0c;如果需要加入名字&#xff0c;请在代码中按照对应的格式添加即可。 提示词prompt 帮我生成一个随机点名的HTML5页面 生成真实一点的名字数据 点击随机按钮开始随机选择 要有闪动的效果 &…...

Elasticsearch索引字段的类型

在 Elasticsearch 中&#xff0c;索引字段的类型&#xff08;即 Mapping 中的字段类型&#xff09;对搜索和存储性能影响很大。下面是各种常用数据类型的用途及推荐使用场景总结&#xff1a; 1. keyword 类型&#xff08;精确匹配&#xff09; 适合数据&#xff1a; 不需要分词…...

大模型在慢性病毒性肝炎预测及诊疗方案制定中的应用研究

目录 一、引言 1.1 研究背景与意义 1.2 研究目的与创新点 二、慢性病毒性肝炎概述 2.1 疾病定义与分类 2.2 发病机制与病理特征 2.3 流行病学现状 三、数据收集与预处理 3.1 数据来源 3.2 数据清洗 3.3 特征工程 四、大模型选择与构建 4.1 模型选择依据 4.2 模型…...

DAY 43 复习日

浙大疏锦行https://blog.csdn.net/weixin_45655710 第一步&#xff1a;寻找并准备图像数据集 在Kaggle等平台上&#xff0c;你可以找到大量用于图像分类任务的数据集&#xff0c;例如英特尔图像分类数据集 (Intel Image Classification) 或手写数字识别数据集 (Digit Recogni…...

SQL学习笔记3

SQL常用函数 1、字符串函数 函数调用的语法&#xff1a;select 函数&#xff08;参数); 常用的字符串函数有&#xff1a; 拼接字符串&#xff0c;将几个字符串拼到一起&#xff1a;concat (s1,s2,……); select concat(你好,hello); update mytable set wherefo concat(中…...

JVM调优实战 Day 7:JVM线程分析与死锁排查

【JVM调优实战 Day 7】JVM线程分析与死锁排查 文章标签 jvm调优, 线程分析, 死锁排查, JVM监控, Java性能优化, JVM参数配置 文章简述 在Java应用的高并发场景中&#xff0c;线程管理与死锁问题往往是性能瓶颈的根源。本文作为“JVM调优实战”系列的第7天&#xff0c;深入解析…...

Android-Layout Inspector使用手册

Layout Inspector Android Layout Inspector 是 Android Studio 中用于调试应用布局的工具 启动方法&#xff1a; 通过下载Layout Inspector插件&#xff0c;在 “View - Tool Windows - Layout Inspector” 或 “Tools - Layout Inspector” 启动。 主要界面区域&#xff1a…...